CPUs

Intel 3nm Process Node Coming Later this Year, Will Power 5th Gen Xeon Emerald Rapids-SP CPUs [Update]

Intel is ramping up its efforts on the foundry front. The chipmaker plans to mass produce its 4nm (Intel 4) node in the coming months, followed by 3nm (Intel 3) by the end of the year. The former will form the basis of the 14th Gen Meteor Lake processors with a launch window of H2 2023. i4 will focus on power efficiency and die area, optimizing the next-gen Core mobile lineup. Redwood Cove (6 P-cores) will be a refined version of Raptor Cove, while Crestmont (8 E-cores) will be a major upgrade over Gracemont.

Update: It looks like machine translation messed up the text. Emerald Rapids will enter mass production in the latter half of 2023 and power Granite Rapids in 2024. Emerald Rapids will remain an Intel 7 architecture.

In an interview with IT Media, Intel Japan Head Kunimasa Suzuki shared the company’s plans to regain process leadership in the next four years. With the 7nm (Intel 7) node out with Alder and Raptor Lake, Meteor Lake’s 4nm (i4) wafers are already in mass production. The Intel 4 process will bring a 20% improvement in performance per watt and employ EUV lithography for better yields and density.

Intel is also prepping its 3nm process node for a late 2023 launch. These wafers are exclusively designed for server-class Xeon chips. The 5th Gen Xeon Emerald Rapids-SP will be fabbed on the Intel 3 process. Emerald Rapids will launch within a year of Sapphire Rapids as a soft refresh. It’ll be the last node to feature FinFET transistors.

You may wonder how Intel will mass-produce two nodes within six months of each other. The 4nm and 3nm processes were developed by two separate teams simultaneously. This is essentially a rehash of the Tick Tock model, allowing for rapid node adoption.

Intel 20A (2nm) will usher in the Angstrom era, utilizing GAA (RibbonFET) transistors and PowerVia technology to improve power retention. Intel’s rival TSMC will adopt GAA with its 2nm node in 2025, giving the former a headstart as chipmakers run into a miniaturization limit.

Like 4nm and 3nm, separate teams will also design the 20A and 18A nodes, allowing faster deployment. 20A will power Arrow Lake, while 18A will (probably) be used for the fabrication of Lunar Lake, the first major shakeup in Intel’s client CPU architecture. If Intel’s roadmap pans out, this is when it’ll regain process leadership from its Taiwanese rival.

Intel is investing $20 million in its newly announced Ohio campus this month, followed by another $36.4 billion in the Magdeburg factory in Germany this March. Its Irish and South American fabs are also being expanded for increased manufacturing output.

Areej Syed

Processors, PC gaming, and the past. I have written about computer hardware for over seven years with over 5000 published articles. I started during engineering college and haven't stopped since. On the side, I play RPGs like Baldur's Gate, Dragon Age, Mass Effect, Divinity, and Fallout. Contact: areejs12@hardwaretimes.com.
Back to top button