CPUs

Intel 20A (2nm) and 18A (1.8nm) Process Nodes Allegedly Taped Out

Intel appears to have finalized its foundry roadmap’s most advanced process nodes. According to a report from UDN, the chipmaker’s Senior VP and China Chairman, Wang Rui, has confirmed that the Intel 20A and 18A process nodes have been taped out. These are the industry equivalent of TSMC’s N2 (2nm) process technology and are supposed to enter mass production in the second half of 2024.

Intel’s IDM 2.0 roadmap promises the release of five cutting-edge process nodes in the next four nodes. Only the Intel 7 node (formerly 10nm ESF) has been delivered at the time of writing. The Intel 4-powered 14th Gen Meteor Lake processors are expected in the latter half of this year, but rumors of delays have already surfaced.

Intel’s Chairman Wang Rui affirmed that the Intel 4 process is on track and will be shipped in the second half of the year, with Intel 3 following shortly after. While the former is predominantly a client-centric node, the latter will almost exclusively power the 6th Gen Xeon Scalable “Granite Rapids” processors slated to land in 2024.

The Intel 4 process will be the first to leverage EUV lithography, offering significant density improvements over its predecessor. Intel 3 will adopt a high-performance EUV library with optimized power and server-oriented optimizations. However, if industry whispers are to be believed, the first EUV-based Intel chip will slip to 2024.

Areej Syed

Processors, PC gaming, and the past. I have written about computer hardware for over seven years with over 5000 published articles. I started during engineering college and haven't stopped since. On the side, I play RPGs like Baldur's Gate, Dragon Age, Mass Effect, Divinity, and Fallout. Contact: areejs12@hardwaretimes.com.
Back to top button